蒙妮坦手游站:纯净绿色软件游戏下载网站

电脑软件| 软件合集| 最近更新| 网站地图

当前首页:首页 > 电脑软件 > 编程开发 > max+plus ii

max+plus ii

max+plus ii

类型:编程开发版本:V10.2更新:2023-12-07 09:18:58大小:133M系统:WinXP, Win7, WinAll语言:简体

max+plus ii下载Max+plusII是Altera公司推出的第三代PLD开发系统(Altera的第四代PLD开发系统叫做QuartusII,主要用于设计新器件和大规模CPLD/FPGA)。使用MAX+PLUSII的设计师不需要精通设备内部的复杂结构。设计师可以使用熟悉的设计工具(如原理图输入或硬件描述语言)创建设计,MAX+PLUSII自动将这些设计转换成最终所需的格式。它的设计速度非常快。对于一般几千门的电路设计,从设计输入到器件编程只需要几个小时,用户就得到设计好的逻辑电路。设计过程通常在几分钟内完成。尤其是在原理图输入方面,Maxplus2是公认的最人性化的PLD开发软件,特别适合初学者。

功能介绍

1.设计输入。在传统设计中,设计人员使用传统的原理图输入法来开始设计。从20世纪90年代初开始,Verilog、VHDL、AHDL等硬件描述语言的输入法在大型设计中得到了广泛的应用。
2。预模拟(功能模拟)。设计的电路在接线前必须验证电路功能是否有效。(在ASCI设计中,这一步称为首次签署)在PLD设计中,这一步有时会被跳过。
3。设计和编译。设计输入后,有一个从高层系统行为设计到门级逻辑电路设计的翻译过程,即把设计输入的一种或几种数据格式(网表)转换成软件可识别的一些数据格式(网表)。
4。优化。根据布尔方程功能的等价原理,用更小更快的综合结果代替一些复杂的单元,用指定的库映射生成新的网表,这是减小电路规模的必由之路。
5。布局和布线。在PLD的设计中,利用PLD厂商提供的开发软件(如Maxplus2)可以一次性自动完成步骤3-5。
6。后仿真(时序仿真)需要利用版图中获得的精确参数再次验证电路的时序。(在ASCI设计中,这一步称为第二次签署)。
7。生产。布线和后期仿真完成后,就可以开始ASCI或PLD芯片的生产了。

施用方式

1.运行“PCALTERA32BIT & quot在目录中;设置。EXE & quot,
单击安装软件Max+Plus II基线软件安装软件。
单击“下一步”按钮完成安装。
2。第一次运行MAX+plus II时,出现“遵守协议”对话框,
用鼠标将内容拖到最后,选择“确定”。
3。将安装盘目录下的* * * *授权文件复制到MAX+plus II的安装目录下(如“C:maxplus 2 & quot;目录)。
4。再次启动MAX+plus II并选择[选项]-& gt;[* * * * *设置]功能,
在弹出的对话框中,按“浏览& quot按钮,选择刚才复制的授权文件,
确认退出,退出MAX+plus II开发环境,再次运行MAX+plus II。
5。打开控制面板,然后打开添加/删除硬件:

标签: 编程软件